From b065369fac75564a635884c4cd753c1dfc59b9b0 Mon Sep 17 00:00:00 2001 From: Blboun3 <62328614+Blboun3@users.noreply.github.com> Date: Fri, 10 Nov 2023 13:27:12 +0100 Subject: [PATCH] Denk proof backup #3 --- app | Bin 236956 -> 228216 bytes app.cpp | 69 ++++++++++---------------------------------------------- 2 files changed, 12 insertions(+), 57 deletions(-) diff --git a/app b/app index c4f3e082998680c4654ca9b996c01990ddb7a132..efe38168da303cfcc9ccde49f7172afb8ae44d2e 100644 GIT binary patch delta 46076 zcmc${0a#T<`agco+>4>2fnlPeqLPv!Vp3vJqLQMbQc=XDspL~aQ9M+#+J3@Qejb1QHu#1B^9+))R*-4dC$D#J>Io;f4}GdJpc1N!)HG4 z`_4OaX6DQ}ch1bA;xEJdzaLf_HZ6FSFyaiu=+Aca-xFvB#A+|Vn8 zQLviAL-Qw{ky|bd3a21NAOb9mz(+%@UE`BB;&~65rPZ%b|-kOOTsP>*#}i7RI4DaMR?JAA#Ccj zT1buH%0m}04l*haoricBEaQPYV3`XiZJVqiqhAz(o2=JHe=D*!S}%^-B=QTa^Tuu; z-1i${6yr=wtj!Z9KOCMX(tcxY2tW6Xn9JciHTBaqhA~7$R31h?hbOFrEHm}fWri^( z0C`<)9Sk28GLoc5>fw2i4u&Ng}=C{`Lad(&pttZCKGVicH826y4xyxEV{#^4S z>+$iIhWyW^NR*iRX#m`l>upxRgd0R&zIE$_Cq?ZY)|iL~%rmX0BW@OfAQN|r;0@M` z6WRNrLni@H_h-ejG9<^f^cRCn~u zpG*;VqxI8ScZrA_>NZ4QXqx$Tf13V^DeBi-cg>h$23U{JcuM45SGOegRv|jBt=o6@ zd#1?As@oQ~Nr<9#b*ImsVv7DX)`jusiJq&hyW*b|d#m6r8PY9 z0TH^=+L?I2D7?IG+PwA1?J{fIg7X53E)_516y8H&WM#&V_X`7w<&1Tx7j@$##*ERJZ<8)Y_f}*8Iy3 z48A7LIP(3-%ER01MqchRMbkWM%*uPs5!T}?n+NAs9*U0L(dA0DHl$ArX`QjFt99TjFMg*tw5$oM6&NqXg3%90c3>|qt^!X@75S+VQ#*lT0 zFSV9sOrHMJ`E>29KK$gdV?#{i-7Yiro=@po`aQT&S&0-|k)`nk*}Q4($e4^A|C+JL zwfWdFYwqfC=Bd_|t4EnN*1Ricm_J*$T)9YutAdqT-&{G{e9OA!s!?XHHSek!=3a`6 z3}OB8)(O_rR}DS$6qL#nAwCU{DC!E!Eb3}HdhCnvh_Wuj?`+<&zFB*k)q7Q_S#G=A zWV^f9cDMexJF9e!`x=pZ->3J9>pCLP#)f%cN8#YgLlJ?Mht9?oeqZiyJ6`QQb|jQ^ z#-)c*+ao_ddTh*3(9IrRd3Yam)B(1&*2S5#&AF(GQC4MUtSPO7nG?)2tZysgGT*RfuA5=LPI2*qX;;;w>&Avm;4(af?nGtSZQXLsD64&4;OJ}4 zQwzT~SuAZM&i`_-Ot-RH-BKsR_ACy;*3ObCQN^Ejw;Hv&^oJR9k_pA z%CPocGuiyyI&{q<*E}v6I?HvVtjud;(N!M0c9Ce0w!3&5l}a>5TRXF+nD>y4xXrM} zuZb~lBVw+x4qmr(_3EEh=XsiO8&IS!`t%-gO-H2N8}2!wH{6r@+m0u{!UfK~0oUEg zeFLgD+;nQ?;a$+twfMq-6_Y(BpnSG*PVAXobJuCBns2Rrt(Ec?_IQT~~k zT6uU#EH3fJ%ELpE#*OPBxMOSABx7rLSnSrWK!ni7tz8D$7+br}g+AZd`l&0k@=)Uc z9y^lXxT9+jMxw~Zt=4tx&zN{UuAgA!Lo!!l`Df2ZAYE)(7d;dhnOnQoTFKl%>1MQXLgnF>A-lT1>rwht z=ohkW65>s{6U|qwi*FfaW!)NUK4-^jZmky^zqDJh=C%m)YFpTOTdcVF zOSIxbDt`jfx*d;015NkeV?cLxp zFGQj>=FQfQI~Iv6m2%*YdfbABZCGRt4;1tM4$NyW5N1CW#51wCiOo=HYau9()1t1xrc1m)RX;7MmeEo-Xp5q3UFs7gr zoGfv@SU2z9sqR6>GGPQQtGns`qeARIzwV~3OH5Dl zgJ?{@kOofj1P=cC{~Jzze#PGNYf8Hi{)tcKkYrR?f7}1eXQ(svcS>9b?ZoDFT@Riw zhDBJ05&bZJl|F<}H~rz8Ojw9Tj*Ep6iz<&qh(d^7W=$#IJuGm$ zVWd2Y!cwq4F8_@!sea5b2B3+kyXMhXPMY?-ovSaX-DwyU8WB<%DgNJt(7J;=$C@*% zb{R%X<%yx3dD%&HnRVkTFAW%!gcFEcW^H(4p{Ml^hVkEoq9<`J{z8a@57Gq!LwBoq zC*s{tpFB+sjL!sq3*RmYzvsQ|9oL|Tqumdxx(lJHY1cG)FV_OBrmJm^xSS!gwL&;RyW*zdXN|6 z)SnH*4J_P)4vu)=pRMKI?ID#AB_Pxz)FM<{%e-T)(E4JLx~y(z{Wjsrc*!t&UQi*C z=?ev(# zKB5={|9hVcj~B=3@c%ZRQukxS4TC(D?dT&MT0X*6j8OJ5E<}W&PYfgS12pP~Xk>&I z#Iq1m-!Y8Nw{b&92tr7IAGP@&`UXNMLiM|_M`&rq4HdSnZNAWixFdL+#7KgYf;QL> z$LYv0^q^t%zJ)t9LJNM65+(pCv^Aqp2>pLIj4%|q1XzgBaR9nka5At>LHg1+RR{#9 zPyxRGz$`d}VfE{9hS1UkX9)d&V`soP=pzVU!vOs%oYg(D|CC@4-3|g*2%`ZZ4e^fU z!uSJ14R{5@ZxF6PI3M9z$iGJDM2NaT7;gciA*b6)Ft8u^FY364|qO|tmb>e>Qdhwm=Kcoongcxgd^Y$47zFbB1D?zG$YkCjdX-egk}W)!o+jo66$_D zdf%Y&r=$wwZz%se2>(FnM(9EK0l}T>-5FqRa}B2oT0@n9O3Pj5oj=&TUx=g?-ZzJu z4-6K@GVfI*&DEw^;C|s-av>@~C}J!j@l0ix-+V54&{lu_JDywTphZ zq{=rIn$Mbd$c>B4f0^INTawK+<|KJA*<54>lW?|7U2GinNV)IGSKs$V9TrC2Hxo!q({ z2_FA5Ih-t^J52_yKvscEH1=F<8tn)aj|2Alg*@?Mm z#vhw;s0?y9$qlPZ^OM<8dZ%4^xpzc{`H4BGWhpMhrQYwaG)D;0zC;em#A&rJ@t&J$ z?h`{IQ*hgbMfWw{qwCBMg_$HjxW;Ul{t~W1W0##{IO3Eu1ud&V5*=??>V5fI^J{Z7 z?3yZskqVbZ2(bwD2*oM#KsHYGCAs~2(+jv{z4=?fdkR+HfKKs}+i&Y%EO*#l{wOB?V)?*0}gEUWN^!iJk-UV2(N4Y>(I*c?pd?M}nEAs@;`x5)55 zmus#tL)ve~ReOSqkXy~E=5^jVx0+*3(VZqQzs(#UKq)iiowu2D1ISUD+oc@jiDKt_NW}@BQgF<{;DD=QTH)qs#z0$6$HOojAwXP3j!a-DFNO&y%Y*nJdWA z?oH-bFcTxXhp_WoRGj&h9-s>QTHZR)3qnDjSNcXS1#W@(b_U*73O<|si47v5|B z)0`%!-)CC$sZN3ur+-c_2yxM(E1;u3iy64Yz1`F`s0RG?<_UVQC^km~itx+4r0)F%WohaHwR+3arq(4G9LO7rMRbKg+xsojZ{20d1qu!4nGv7Baq?4tq(2TGr%k0W{ z7}KgG*H_^N=7Ek1`@p{ezN7lsk=}W#rm2>-l}G+{mwA?%ehrv&&j5KN;VK3__?ncH=osJt%`{WV7a#9`7Tzo|0ohD}Pj3?nqcv14C* zGJu%~IS3U9q$|A4``Ytn%y6^b`(2~?PQdA8T!wJnwbx!t23ZK%m&s3FGsg`7pPkN5 z?j7_udNUt-xjgT6a|-pnb+4np0PlU>yvfY>e)qchgX`>CI7q{on~YG8coRYb;?3xT z5qO1`j(7&b9?07fdN1>if785{E-ml9Z=vJij{HoEc|P$kTFj&FVF~}hJ?$gh+JW)j z@7^_~n6d*YPo0W&0hiN=-#^z4q;teW@LW6$C#J5%?d5Xs^KIs&5h8SleD4bsxwS$b z{lXk$j*+8}m`evy*ACku*B>z_>G;D(%;k7iICR9EAza(MBYMnl14QCV@1SqZb%O*P zuJ1S3oAy{*CO`Pm^x)BD)lcTXM4)np=g#1J%}AO5vl&b^6Ej_$D;q&{2JiiBZZ}uS zTaKE48M*KwjC8T7pMHR0AQ-~{y|a(;&O2saYI+JVqV^0`A=eStGL7-jWwJgEn9gZZ zfKmTN(0=^Tb3Ajcs2Sobke2-tHU^&DHpL;Y;ewvr$JHk~NOz;Z;5pK1Tn+A&m9Rb) zSnk5(0~V1~a-mBQr?iob6fgCDX9_uNL@jy>T_J@Cm57&J=G}R!IAEHOd9M!(3J?EySN;D}z#w*#%!^O|_W~7<{&yLr?T7ro2`9^^V~pqDowoc!M%qE6 zLtpNQYrQ|FI&6Z&{POeooqZXaBiFA<^5LM_Q6r6hhxfyHD}7L|}FhK_kVB5E_0ZL_kM@Y=0>PLwB;^XYct%2Y!Yp z*fmc0<&1T@lVwgatyehPU+~}YM(@T5vD7_e;4BPL1E$fqQobE2reLW5F;bjwR(sDm zL;S;jx;lNTxLgmGw@wx7hjg#RqyR#4lKkgXaeSm69wnxXp+VRGdFOTYEVDdHY#*Wr zWu*PqkH0xfjF)@P6nbR#9yn7>arq77;nRg4#usv-ymw6(Px=q{DY44#s#tN{Zg;HM z?r)bn>$tyjWj%;KQi&CTo|A#F*fX>K!q?A3g;)hcV!RcpQEnZ&t6NU$Te? z8%14(;A>xy<5!3nGfFO7Ar=MUnf3T1u&iAnQp6*z^5_b2|4{mM;Jy!f!|*l8zPurgA(Jcs6($kP26Vg<6!EMnsKr$lSn6;3+@~ z)hw%JgvhAB6a(8JJEAZU@kSVsLyaVBhAhB=Bx{9ihyzL10T~roBcetxWK_c%srLII zquO!@qCqI3kmf2eYH={N52!{;7X}&m)JXa$PNoqeBMvfZ5gX-SZxah9CPPHU)<|Zl zkWszaNV$&nWI{wTpwNT*9jt_vU=;XtZQn(?BNYedv2<+K_}R-t4b z9U+-f4Ix#lMw0D;j0&icYODb=Cz4$g%QTX#6*8(8jU=l=->F8RM@CE%Vgenc7J=G^ zgnJODxh`dZj)^(Hde)Oo1LB&KO(Xbz1S$~eTl{z{cpCzp7}?XDVJEolANd>IAUz1W z0KJI!F(+9+;sXe}K*lAg5d>-u(g!0Ria?#p&H(W!1kzE6Mm!dQnv{4v;t2?3i)#Qq z3MEQ}fRx0O5KngS6vR^zNJk+J@pOki1My6UJ`3?|2dCLnnkb~Slt&J9-MHKl_t?Tf z7|`^o&L9^Cc?i^zNY;Q$Cg0&O6}AQ7bg7cVV#G@v`clNp5cCO^BVOUqS0Y|zbJRa& zPz_RppcB+09_8SB5U)qjXV8FnBLZCoRLCa8n^{jbCFpqCANg#7t`&je6xtAPM{rU5 zlY zqEV$`Y(Pdd{T>cZI&u<$c%(xgg?KcA&L9@?ID}x>Qu<`XQxGUU@l?dq5GYO|9r27y z#X`FcNkG%<*%0bpnv1xT=Yi)VP?ghBh&V0Or9sD(kEe^%yeU_Sq*LOum(WWB(`Z0Q zze0uZOw*{n$~2NOL)r~_+X~Z2f?Xbhu@pbv*8Ijb*>e&3ZHK4|;nKW0d3WYsv*!An zuBF?PeN;f62AA3FmfW`>WFpuHTt%(H4}Apxtn(qOMhHcqtb<@n{+7>-U9;xu{QR}+ z2Qkf@jw z6#_f2!JNjmrqKhWgXUVh5f6u~{~EdcIx%Gkl`I(Y!lm9#*NGPcMP<47yj(HFE#LCE zMpJL4v{9=tV4R~GHyQB+#C74qN2+@+^@Q?2LP|XXht?MElDaB^l4E+9c55yRqgWZU?4{4u_K{AGJ z5=}oMacwmvHX44E<8K$^Ma7Tu!rR3Zk@us#mN4Z<`2fkIf0Tc`UBq|-zd3d+YT#!N zomeR_u*g*y@$=6pL{MSv@S`3qhxH^*Icn?s-iH6DMPYcWoljvIkkZxIe0O2+yGK2L zyyf}A-1n56@DFq23&Y-5JPue}ySuQGd3W1Uk7xI==L>5-fa2M}!tRfiVLz~DuB)*9 zkm8+(k9v$EcVSSc;(@^08dqV%XG%T*#EBP1bt`=buy(guSnF>A9#t9i0HeUejwv1qB>P0> zVa72}?H@#8mU+ysp;iID+f^9rQak}j_C+2$vb(T`5DCKEq^K#(08-WV0=s`c=7}7n zcpT86j89Px`ha8?7^rxHjk^n5nCA~x`rskTPxVm6^M)&XPZc8Mpmv)uMgjLQ;sw3U z8yFiI_cJyzHZ!&`wlcOcwlj7xb~1J=#8WT}dKvo|`xyrq4XFy`W(;HuVhm;sWsG19 zV+?0Z#j6=Q(F_}LO{cIRk`1C5qZwlv;~3)^6Bv^iQyJ43Qy3E&lNmD@GYM%-NoPS8 zV>V+BV=iMkV;*BZV*z6!V-aI9V;N%!V<}@Z&_h*G$$~1zdd6DDYQ`GIJ&YBM4UCP9 z`x%=UW4VS~n71f37!frSS4dUV*_I&<9@~_#%9JA#@H*B+c?JLHHzmkwy_;O zmr(W{3Oz;pkI}%B5gEp@<3z?}#xzEHTqC;zMta&JUc^X`Zp2#|+Zj6uX#nhGK{sPBBfScz zg#C;IjP&S6@+ih=#@_2xf%_Qy83!1RY^8TI1_JE?u#E+!*DHfiJdIHX<&5o&*?jfo zF!r-N^af?$TWHwn`WX8e2N;dbO7CV2+-!u|34&M<%ow`a@GkI(kzz?&kxG)zn8BFI zn8ldQn8TRMn8%o3|pFiV7-KkN#1r26#bUTv zlC)bnN@h%9Ol3@COlQns%w)`B%--$Ye!sZT^iWawTych>lqsu z8yWXAHofc>rTD41q#Y|YN1<9f7&{re8G9Ie8T%Of83!1RR=NIR@s+0ps}0FtDPtL9 zIb#K56Js-D3u7x|8)G|T2V*B=H)9WDuR{60B`#i4`m-uT8DlwP1!E;+6=OAH4P!0i zo}az9mWkn}r_rr$0{a=87@HYe7+V?J7~2{10#*8a#sbDd#-O1}UlFRXf26{a={9eB_7h^pss+mosrp+P+Zfv!I~X&t?vU&<8M7F(8FLuBv82&{ zkO-fn5=1aYGDa~*Gd5wBIXP}&>}2d=>|-<%lwBZWFk?7lBx5w82M*&|kjR+Kn8ujF zn8ldOn9o?qSjKoSj0%X2q=ScM%qn4yoQl>7!Yq{q@4%ETN!Cr0`YD}+MPgrfHBZBR|$d{!xOo` z#!AK-##+V(#zw|w#umnQ#tz0F#$Lt&Mq?gMn6CdIiU5Nd!xOo`#!AK-##+V(#zw|w#umnQ#tz0F#$Lt&Mq@r-|3UM) z1{lK`BN(F@V;K_|6B$z&QyDWDGZ}Lja~TU53+H=O#w9E$WvpPVWUOJVWo%$tdylb(ahMw*vpuBi_#}CCNrimrZSe@s_gbK z)-(1qdImTWew#9kVBDXlcoSnYV+&(9W6tf$E_j2&@_dCYjOiN{&tt3y3O7bX#XUyb zCS_1`kHRYc!C%c-!$`|R?4cB|=?IPclzjwaB4gTvN}g1vFr6{y5yeXxYqt@{^|!xV zDViAjwkzIOp)hEN!f?h|#ylXMNe0$VQ-NB3udtP|jj^4vgRzsbn-DKvj2;&BGWPx6 zD|U%Z!qfSj^4QJT%h<=*&p5znJg@BCjDfEy9>f^R7{(aRn8cXhr0gpddW`)nh<-yU zVj1HY;~5he6B&~jQy5bj(-_kkGZ<;Psa=JP``@Je&^A#mD&wek6-G10GR84BzpwPI zjO~maj6ICKjGenBr}W?Tj737;@0bf^Nnh#$Lug#{Og8-TyfeAO2#V2YQ~!J-gUe2 z{%>($q;eR<7|fV9Mae^VD6C;#%NRaY$s-seWymvPlqZjA31dGeA7FIvRLKGvgBXJu zLm9&u!x}4#stPh#v(qWV#ZR&GN8aJmpHs$ru6ZIv}|dD zjKIokPck?iE<+eAfdv_g2V=p|ZQzwJsii|9SY&V~cnSQ`BAJPJ0UZy_#Uv&j!AQRt zNT01!+-+<_WMH2#B7l@06E{Y5l4!r>X< z&J03rE}wi>OqhpqQU2uja`-F4Z7CR>%9RV=i+pJ{5$Uf6`pzrBe*K=k$~&l5oGUy7 zcqC{{!a|G%SbTwyfRKccf{=!gfsloegOG<%3flr;5kd(<8A1g@6+#Wd9)t#j{Rqtn ztq7^8DTw0(Eu0@7xF|sp1my^O5ZVy}lTjN8El89N zB*&#dT}Vn(1>O#Q6P(tQ4P;v10p5=giq?!qNJA(610(IFm)r-b+lTf^%GgUUd zD}}xED;eki>p|{&L0ld}#qCR1Y3Z^xDifBGy`)=Hh^Nbfkz{*&!3uuw^%BPG*r88+{U8ipI$+p-S@~( zUJ+B~V_AKz9QLZ1IwBP>vM2}Y5#+UPt6cahx?h33{Z%pBOq0*NDy}xOWyovd zDF|P9ORJ0Xtt0y zP_ATo!5gT940+8Put}FY-at8WYtZCE1*zb(!RXvGiiVpZ)IzbzI7WNfs1d<(9r+P8&U}o}uhRbp9qF=k^s&`S!NO}9a_Q3S`yKs>% z-+dP*fpXY;;(XD+MJ{;{Z`LB^miKU8Ve$onbou#vxX)zD>F=X>9c6O$`{F#2`-r^% zV=-xP1S+y%9JUq6zrK&=Y?NK^i@D?X;75HFG|SaBm_+rS0^R}3_HA;0o45++`*<6j zZ@GN4OUj(5-yQz-xv7!zz1UN%udLt{iu_4 zjK}x~P7SVkFeU_aCn9}>9QGkPb)203AxfApFa8h}P%Jloh+6B}A)o(HEX3LU_#rxJ z8RihEGgF`06M>cX@{Et@X0uZ+{|F;rq`dwkq>Yx3d?e-u#P6aWZeJdDrT2b>N-vk+ zeS~YIL0-^~O0Sf6w&OyMkgvBRU9$YHT`USI#IJeXsrx745mqky809OLH-3x;s*+ni zMmK7LQMu|y2R|0G0y6OvJwk4UAq|}FfS$>QQ7C7A0=KpD%1@BKNpAc^%thh$euAsN z6u;Qp)a5twA8?y3XZ}OnHYoXXthzO1%%>PZ8vh|8(a2r@5HkYe@Vh!lK2E(fwgab; zD9`B-vqly`O!Yxk8#x6<1&@+9cEGIq4G|oW1zD?nx&wFMtRrf~kA^9U3+15>v}1-0 zIV5IXkn|-sC$l5cR)SNz+7~K#+*c=DsLQFt#IdJzx%m(}X|jCbkT`2l3V!+bsWaI2 zsR$dH_$_^^1D{!8>niYCbthTysW>Aftq*ICp-W_agS_)obmu*CFF~U;58KzlIfw1R zXw_jnd4%_yD88>@OAn()D&!-F?W^gH!(vfD1?Cre9VW4zFe#DebiyQE-q?xuD3e%=e$ya`c$CFZMsTW5e zBuz(emXCfWCQpo-idEJSQ6dtjf>Q%iI}pcH%x9>9e297E5AMduUn)m;qo>8mi@L@6 zRyKKaCr&H# zbK!QyM+^BNu|i_GV9$1nGq!=qTKoxUz++~vTze4pauBJ}wZ9?2P4^2xrJVT%dTuX% zKxZnWjU-N$I|xeTUV{DdV;JEEIqV2di=N)2oq>0%_w zEnkbXJd~ghDr)-q)I7^o^Jr&bXPZWztqU%4-{ZO$zcuW>K|0H)6&a z6z!dlO$`tyQ9UIM4@)2Jo=>$!=Scc^`OP<|c#5XTVc&{T<0y)c%G$-!KNCXPI#15~ zR!kn$cMd8dPOkq}Oc@+;F0P3<%!ByG?LjG!WyHx(zZDBln1g|r<5M0kduNDmPQ_(6 zgKsXwW#IP)jwDOi=rRV`SX8DtZ3MwD8!UdN!(|s(tXZlXJhYafB`0NF`olJe}p}5!6qhQ<`So0yUfWS z@>$X+E))av<^6<>N!|lMb3RR&r~{i7VQF*pL2^t9X);CYZAX|HP{&Z?F0@y>&_IY=IkB2Iek|aO;LA-f3O}1!*R}mo|0;G(v-o(fPr*{H6<9?*;7du7l zLhoHaikr;&H1nbkRWh1oQO8hY7^WMD)2stk!5BoUz@wN)fH&{+PWxXx1$k&{MjgU9 z4+`RHZx&`1z>6Iv!7p*65}f)Rl?>14#vTW!Dxql}?T< zw8B6Ow!)xopLfj9DA^>M|51lJMVj+b$57*07`E^8Za*siC_FR~qz(T81@RFOP!VyT zH`>9+GCv014~_>xTOWd?VdweyWN`emg5!GX5b5A!*q+WV4}3K9Rd{!5ltOSi3vPfx zH8`G+>rt*vu zhv0uta-gP#H3?clS)dmMbBd{wwk^Yma` zQir-mQ?Afixb2Bhnh^Unecyl35B$1*mOb0{YZ=h&-idm7zsoiLY&~;~-`T357oBKV zgC_eS!hTjRd`{_%To@k%tXJ6*0FTs?Y)4$Um7Z#RYU&neh z^VNv>QJ3TPiNAeFVfQ#r%#Vt5zTX|LmALq zsgu(@sydXzmc?>f0BVjV8`Yr-Ld*G`+t1Z5{CFfeZmEgh5os{Cw3oe9_7KX3>=& z+7aROyT{L-=98WJh7`2$1iB`O<}2jtKvxXm z{fuJ<b@-vi>vVAPL;FqCp&HY_9PxhoR=>*W^V7FG^zbj=AL5$mpN_1yNKe~=oqF07iIJvC>jpPt@X zIQ6uv$H|S=x*Ybc#{~!S017f9(a$hELk<~+0@B+Kr-Mkp4AcGeQ72|#_}eF4eWJf; zKYvkKC+J;9T!wrR1)*dU(@TY?fTwB8@YbXo?bgS^mq!!n+OO!T8Djme-XteS{`SWNR0-AP?fVpg z;Qk&_q3nI1El|A8&%VdOr%(y$D+cON3FvbKP9B*e?+QH@! z_P#lJvft(FY|44)fKljxl!7~;%~g84L*jd}a?A(}8$sDVzgv)wcnb{mRZ3gu)S=3e z<>>g-XWJe6JxEWy-jSZR+&RV7}& zbWBx0;HRfuiq7koKZ$(mlLcI<>26H6eB@5IN6Yca&Z0bm^ghSL%%O3{ul7{ z`!M~(_RZi8;HAtz22Ux5-cbPB5~&U<0GhnkAvl5r#4}+*8GH{Od_QJ!a9t9onyV>M z$?0;U8mV>gfKwE&gq-Y81J|#niJt@BhF8^9xt}qb8<+VDK#gD z)aII#o;FEpPWl`N$9MK@9*idP=nQE$r85EjqYur=A#I-2oE*}B`_P>9v}sav($mTb z&A&v3_DTu}bbhqC5(o8vb%sgU*r*QG1hi37b34NZ9~Y?l+?UZY&+|E~0(aWeKYh@A zBu&_=A@@R ziJFt1R-kBp9}28jq#SPooRG?&n}E(xCm@Hk2~l%$NN21$=?&DF=A@?@)Vv%S+Dls8 zz9yp0j9O3jm7yl*j{=ZG+U4jp7;tdX(|@c{hcI412E_M6K=ZbL1E;Ng^iqX*CwTZ= zEMs&jZWkC|`qJr%hYpr^jdhJ55%zC4OSyZjD+cedKN#zpF)_oTrgEp#M818fVrR=U z!(B6G=J<(e*NQfyWVAj<#Xayd8xW)%*xUDpmyW-W3R%x2QEChd*HX&Zf< zlF>3Jr#?@)j{KR61JIXcu-V@y$a`0r-s2dxl)S=FWHc)9!$s!$`+$YNG zkt`(1Pn;^Bh8PPjJ|GB`gCkutXeHNqk**k8umtJ}EAhFG#j9T8i7wyunfjnlPg$os zIAu+HxYVJFO8@Oa9atR=f5c-U(676~v4~phFCSvKjPT3km@`~46Ehu-D5DMsr;O0U z&%in6K5}AyrSfTrCzd(Hl)BNuDRr&<5oR-K@tHbsJ3{I7Vl+Lvv^(^aY`1VXwr{&UFa^JB`nUV!b{#!-BCq%3v~o?Cg6wJ4n&!4&2PZwPVsq+gyPM|F zV-ta#GZn>*l}`gE#yQmFj&{L0%#D2cBbgQZiF+KJl4Z!nQMhH$KS*(=rgd;mPXB+! z$?5-;I5`G8xWNLQ&k5q?=rhrZ<-2@Fl-C})io^|-CyLAEqY!&4A3sq{+xVPW(7(CR zoV?MdJk6>80-yJB($l6pttU?Zr$HUU_zAs&crXOqXD1sO4g*R+|Kvd@7=${^qj#JDC-q~(d^w4T$+X+5Wt(|S(L z$zQ#L+tV)%CkQa?eCJC*XVm!pi98)!NSzKV9h~fI{5Y)+b=v1UI|f#N8Hv~zqz?R8 zK+hzO$q+r#7^x1u-O?-N>Y1*XnH7GHX-}&FU-XCP$54DcuIcqSr0;X z#G4_a>w&D(27G!tE&7iF>QH*xDXTf@%N(52)6Q9^zS+T{r~A{RXQLcpKc8sVbkxVm z5AC#d`k{ZuqB-el->l|Tjt&PWJ^i~8bzq4N&Xf2F<2e0a5^X>U=zo=H9*G3CE9LrG zxS{9M(j)s&)tik~xK2*1a-E!3I_${Nem|awrM^x-Y4XuHJY&;VTy+dFR>NH;Mq@m^qC2SD zK;}#Gi#XSKdmXV(PVO`0=yTAmGUZ~xT3Sb}wMFov*A?q-MH_v!o>K2|aH<8``0Lcu z0%Xlek5%30xWQJ{d{D-2|=elC%m-?lP z!pddshq8=waLR|a|7yKmx+Hl3ekRh2XQ!OD18Yuxg5>DgsIzpr7~r8*&sw_=b!M-E zMz7bmCi;gST2HyqW@63B8`ec1=cK32#p+N!6)UBWbJEkMW3305Kg`DKKw3Plg&&|0 z_F`&?bRnX#Zd&Wf3GGzYoC=Zc;H0OW%T9fzgOi?iG;2K-LdK&Iv^ZM}zegeL#o7?* z-jj@7&RS2IraCw|rCrfZeTjpUo_0w)_4^$hdaP}ibLQYNI2a4RwH>9SUDTRWzF0jC zGts+JzBmUrQCb+T)ltJxEC;9Lp;*PNjvyludVRQ!Z;p{WT1l=B(^vw1j)PPBI7j-m z|DN7s)Qs^txbfc&j9Q0&(@FHr<9+ELJc&MgvQNL`-}IP6tBLd(Jo9e`Xl;kS;UxOR zGkodaIElVzs!#ukznQKiRDVqf5AUv>Nqr~N+PD0HOv z3?`3!=r*Nw|LQR9kMQb!0J&*e$o<6*${ZXGO_i>MLF%pm< z7B)HoeUQzWpvl44z@B&^49Na=aJ|ofcsaP_=ToK8ShL^}jDrwr(eahj8!{*|rfq;GPx=@?|758b4_2|oKN4!uVk(7pn7 zn8qv^=zRwI48tAkfs)9&Tbp1UxKu&Umc3m4t{kEHL6Z>0ujGh0JH^V;KsHIbp#pDpTq%evrvbs zfmnzAODD0<@Uy4SWH{4j==5^p0xYgR02fI!v9Sy`RB8VNry(+)`FG%1;9<<&cp}Zm z7+cJI7KfDdx85PEHvH1w~PU+*p>8@4E{37rK?T-e8 zOb8lq+Y4p~H-ZOg1L*Gs*Y{m=n2(*G!Hz1npw~N?NdE_Ly~Bxk19+LEz<&p?LX#w; zMm%KD3PFLx;4pYS3KYr(>IIL(0MNiZU~v`y0UH95s9wxV=e>9tg<6RtPeAR^G(3*8hOhlZdX;TJS)+`c2?83#9!QgXiOi4V5m0?uw5>U~fF-1eM@4CmzlG z8SqLBT%l+W%3vQj?Y}By{cGU*D-fhV0`8uNUdZ}B@U-*s=)&BE9Z-?CsTEfv3_KMC zL4bFxMh0gv7F-W=R0EU2qtGk&vx9hWT1Z*Td?9!=YDj083Endf7dq>20WX4b5A)xG z=U`CCB+$x49rT0iZ?{l_NvEryz^k$zjD1^Y-hEIU&QG=S`kKnX;Hk=(a zfz!VmqB96V`a|G_OVMnsKMGDuy|jJkDAec(>_=w(c<}x$G|uB9qykKVAn_86jchO< zTz|2I^p#X2x2jQz_~p>kFZ&3#zaE?>DNC8(0vJK{H*a!y2{`@drbI5lTJTVG>0s_e8^P&4D9UIT@Lq7|=e0_} zX|E6Yr_WeD4z52$KqpiKj@?%tyOYovzYIb2L_9ID!;it^F?jbepNLDUz%elW0DVb> z?M>%c;q)z~Muf_FG&&yPzOCZqSzahRJJPXyB#SS)u z>kZ1}pp+aquKTCK1JS8^*}fK>-n-Gm0Qsv24@0NaHTDsByXR)#!0}HA^e2BP!F0OD zAIH@ZsT`aK&i79H3>Sjuq7T@e4h32c9*t1I1;|32o>?(PVK>2MaGC(oHT*kpPyGzE zBbRUo1hhQ?`Ke9^PLoMh%$vdW{x14N+Q;BD@m$XOug^q{;7x7>^DgM^?dZf&z^}pS zjiR>iAA{>ZaJjDy$6`Ze@rCGg>|hdjCI*sN=4XM^%ybht4LtGSG-Z>*`b6-sg}7R9 zLe!`7z-b0FhxMB&Jx1DK=D!<@>!0R)wF3(V_U9nj;Bj!8zCp*gYvdVl+9jep)l1;| zD-YCUuY&83n-G5+oc2>_|0BcUuR?t#g7lL-5JW9PyKxi5f$Mn?sNd!!00}68gAXR z0Yta?81U{iJrd#}csc|n3(yRlK|DB3R%(ay!1WU;6>v2;z3m`-D&Tr>dg0W~>2tx8 zQKK~UQh_&s>t}XKe;>I1(P7{JAAvxB@PiUmfYVlzPIgcOPHS`YW%(j_4lcD|?lW(K z>(6OX`p>{=HdS}JufXjO__$Sp`oZ=0(ma$P5FMy86@fd^RPgTEz9*IhaOcpv7+im0 zi_+f;PRkbbP`w$P{+r<>uA!&Ei}1b|`P(&82TnVC!9DhM`Z@&qV=CnE9q^RncPng1 z2B%$ww4RsrN5SdadJ%R8LyZxL&^83>RMaGs!Sy3FRrz%AL_E{iKu>%QxcZKpM>$*u zf%A+ufYZXI1WJGmFTyBEugG;D@jy?@J_=cXA2@wqfX>jq?7(TOTo~)01*aFws(?cb zFF3u*a3g;t7-GBx0qtqjz4UM3&awS1aC+(J<|g_OJRO%=o}td@GjQ6wM;TCo=}sDr zLYFX~OB|)Q?V-OIoW5=6(HUL~LCOUXa)LX+JMlz>j%_=%D8Y}uGu{bqe+7!|p8zjN zK|3?w2VRr!tFisyL6}g8VEvom*olVgp9OQ!TWD`x7W3P{-3S%Tw;-;+oJD6?0ZuzX z@bk^C^5?;684;f3?E=35P76h}zy08exXdHjUo&{rR9yeMO*F56@qTu zFx*0Auohf>7)bHEr>O-sx>Kzu2Y5hf-~w(2*V7-=%eR8li_2QBkw?KR5`AO;1y;K!(a#&3etHfUWV%TbpF7~8WseI_`)DMvrG?QZ~gei3yG zcqne)nXsoCdNdN(KdqeUWCxGKfZl8ev4f|;X+KgZCwL27UAHQO!{D?DG>z^1NPn&G zvKxnAD75Vw{n9SbJ>W%f7Qy`fGjRRUx-6u#4IYL8y+hV*x&xdRtQN8UDe!?MzCN-a z-2NB}>kooEKMMOVaN6%gmnEI?*eNJ*qOSmv9thHleLp780;iRH73}aFaQlmO%oD(A zOFizscpebO#o)0R+3Y@mHeUno{OPs@oYot*b9xJ$mY`&Djd^xK;B2G4;Cc#+I?-$3 zv{JQ)9W;aIJ8Ix_aQbozbxJzJAITo`1G#MPMt`D@5V&3Hkt`nEF`wWuu7DsL15X5( z=mzlU6}~Di0e5~z_jT|o4TdWqAZ#&$-bFP=}_KU~ycaCs9&bH5zYo z51xEr(C>y*HW&iWv?on>y0PH22~S^kiQt)d0@9u8DsY-A4n%r-MEeamjS)fY??G_q zQ}ge^X$x&M^dU3})&v3V6)1i^>j%K;9ic8z0G z=h&!5P6bcKxKhdXW5GlD1nm3&YzSI$x6@~M0eIx^eE0Jt@K{Vj=zGBx;Iz0kf*q~} zcivua1gGUs+TZQqh08D;vwbQ_tOb%Z(t-o1&< z|F6Mm-0N$Ryt%rb?=ftx?9=JXt5Get7PRo^n2cL&#;4`iUr_aGqjnNsu z0v?HR1s8{1gCBxt;BKk)9pKq7;r>q<(k$2y5YSPMKvhH+D19UZVDo8+gva4p=QCOW zPAgCISih9?^FUaC4LB|7pbW|XE#S?GzT59z;Ax&YzWet>5ZK?SV~3A{SD{m-Gk*e{ zUO}Ul?Ix%L*WdT00#C$qJuTl>84fX;$e-ip(*sW1C=$7VKY)8^F-a9?AkgLpE;(E| z_8FfFPAlnynTLVX%BNa9b5Vvo`l=6woeA9?@Fg|`jz1N%Y~Hw%IUcN zo!>gY0|o}>W+OSlec-fTAes4AaN1r>db$e!1m1(Qu4H`^ct3s@pn~iwKSX**pZEdX zSY{Z7Y(Fdp*B|Zj?_t4&7*yFXbVRCRxQPa*1)px{sSVEscg~Pp39dgeP4=6?Gr5xy zF9%m2K2-jm0 zq7x=|4UD4>c_B9uuKUNJr@b2`?63;l{>&#zNdEo|PT%;!Kx#j*w17KiR`J0h2xuj? z?&V*CM-}-T{si8(!uLRtj#G8s|E~qNKaa^xb`v;#1tyLQ^bmOAT+{+{3Eo_;Z(g|n z?}Q);ryIu(o(0#Ru+pQ z4IKriodlVzABJZI`lgHOGr|}Tu0MQ8b4urc^NV2I|LF`NsGQ_`M{@E-k09>hdmHrl-cBi4{ZG9y;eJQIR_H-}!5S%{L5XSnr5RC4)Rc2xQu@ig+ z1KPe5%mzP@!|@m@iKBq7S-8y5%e8~S;7Qk`P`K8qK&OGzaxC5EkWS8-RS!SvfjT{&gT9c6={L|2-gI0$9?*Jj{@r zpHiB${Z$IHIPdn-BIZR*+vQ7{by&9tR55EXPj9GYE@n+FD$2{>{;8JPf_1uIJ+spE zvt7&r+jE+kYZ)b!+tn!ao{vl1I}LfsV$!GkLn)9H27Lv6wPbr@MjJpd&HYOq-quVs8MlJ*H2e z24r)B=U--mY&kfGS%DSUM=_W`{n;F5H8z9!z^gXQrVGtwR$^+Xm~J+gS&etcRiK-4 e85sCM0XRK#F0-`63}eWc8NUussMT$yFMv93=Mv6vChDJ(8jd`z1MGYEt zsZHg}wW!FfD5y(?MoFcP8O~6njy1f_kXq3U(=hWz`G3|q>%`%HtC|1r`906?JkR2@ z*UMge@3mjf+dh|$ANy~Ae?VdQC8yR&Ek@I{i05pYCbgg#&8gY6D9xeu*Mz2J*WW9( zM4g_PIrgHAa;XvZMvh<*EHte`YMGD`MfcLQxF;UnCG+1bzeG%yvc0T)iLEhc?1sI_ z2wR71SBt~BtHtJeyk5Gzf7Kk`mVsSer<+U5m-ks~lhvi(FGJRf7roc@e?!K<=>2#9 z31W5m#RI%T=09J)|AHT-I8grfz@<{AKkpqfc$9SP@Ln-^rg+AC|KKUIczgNlgU3pl zv)%jqp{s^8&C%*8qSJfX$os^fyf2QNA~tzXjC@@Bp7O35b%mJk-92hy z=xYm+DL%O|2=2+X&l@!QE}7}|t{wf7EP2v9+__Cm@xJ1`TXsI-9X@8Kv_Ii}Z_Jl6 zy3qUN*k@(I_Wbhm7ynzx zsC@6gFMU9UJzTy%dYTZM%iq52fRKA1@;)$eoVdWdd*aJ7b94Dz*IFs-HkI$6{H2iT z?((N&HcFZMVEK@#fOlH#RkHqm?*p+f$0KS@=Io{LTTCFr)OOil)FZ1ao#s)jqtY3vdfe;-cbo-d(CO@I_;w5I2pXg zJ3HYq5$8RYaI36aT|VL3HBz=^mG7K$g_N1AykA~7Q^sd{htF-4_Dt{jxsS=PmEOm$ z-z*DPcn2h{myQ+S#(DQ9rOBc@ynS!jEFE`vx81N&7G`({%zIddWO$#R_pHpj-8*^y z!?Jm~_r3X>W%_dOwB&8#8t-SxOXcxpC;7DF`FluAOMPtE20T0~nfoqUG2>yUy4!#x!lw6B0uv)N!JPbPq$` z+dJ}>`C@^0^)0s#dj?~$FYF$GO&?%?q4n(#TJs3n@DX$za2$vXzWXw&)%Pk!UASS3QTi&`SIqL$uh(d!enGhJm` zqv|KUSKoRW;_=n35fRSY>%-IYS|XfzEzbsIc+$K3)~jXL-@RYoI&ScTQ0Af?A=x`x z{w1}(%aKm<4okc6vVUGpvu|CWrQM7rlxowUZ=ACrwRPl&+-)&RHN8V#ghKgKo z|0NSenV!z@PFi~D#s5Cvb=vKejZ|@J!294MoJB2v2U=TQ>wRU(c=203r$_g4zn;#5 zmx*p$_9J@i4PQ>_;`;FM!l0Eg~5&N>Xt}|gA`^fAagHN3A z8vbwe&C~(DrdObYtfdY$(tKaEP~<2EZ=dh#n+qI`vjW1nxM`O3j=E!r_tethVL=?r zmtkX#b7@;SCYo->NSgWS=G77M9(x#2SJgk@KV)85dGsWSaC@6Ki8#0b6o5TpD) zdifpR2Ukvf@{W+ur6`2r@IGb=Rbc?CFmx)7R6AzF8&?`tSrAZ_8^8ySsyV392g}FE zx;8yN(MAR3du`q&i-&kaR=8won|Io6v*od9y^7QID!v^}Rg~GfmAp-(7|A6%C$2*! zuMfTPoH3?$Gj8*~nK{B6ml+bYYpQl8NaqUg4EAPc+WQtkG81#8;1=)3%n3mcgZ&x? zd7^|J3304Wog?)N6m+IYp zr%|#0L1|^)F{mag=KcX3S$_+Ew4}t;Zf$IPd|xXK(KQ#~boyP_87vtsDV<%X+vZ>P zP4VNqT8gn87SG?+66|@rzM}SqMlIdfWOEk(HLBj%bV0SRsUQ3VyL?S{_z17x+Tw`c z+7ed1wIxK`+7j&A+M+qOw)DahHpSuV+mx$qZM3IvZJFx5eASfe(rLE9y-0@3_~O6z zLSKitidx=s`kLsYcJ}vOXF{BLI92-^f*pB{4|rc&b-UQ; z4ZUln%=ljKce%wk-Wgd_FJAO**O_6XP|N1nfH2Vw}ZdcD^?E`i|}{QWm(|o z!|ouWW7;i3vnIQ+P{W?0?{aQ!i9v+2ytk|#FP3{Zt-kPvTmIH{#?;5hZ*9Eq$1W_U zz9veS;?!cM|7uAeu%sI->FwShR*x2AyrFBxi=o~t*WA2l*}vf-8G%I&vb3*S=KLKz z(&?dmqRV55VrX;%2gbGTY^C z|BX{#V`!r{xDXZg;;mgD;w{+_i__Ov8}1e_d2e{|eNpXQuyLr@xzQzFN9u7g!`rZN zs@R}QU2d0HRldyKB>L~fq@+%wU_a+wuxYBhY_q0WDIL6(eBg=5#!UaWn2tQjzfSI7 zbWEA4Aaalhq6(0V&~!?2l9{$-Pee9>WR;ug^vx6hcjk0i_@nO=$1uJq1( zakjhjRZaVEXzxpyi@!i=@Ig8kFm8{L4%v$bBAgD)SNDohX6Y-MTiXDJ43dEzrJA+@ zlmH6MM3oGbnS9;Ln)cri+4r=&w5L;3nf-GP%lExB+U`E`de1Co9sUz0|3dJ7Enl9c ztR$qh*R@$%#cM_dGJ!5mTb(o`^2Ap&QaT4|vjP!&%Xhx|G#*>sB(>sOq*e{u3(5l} zg0ewrAUyQC>CHVt*4}I2 zh2{kroLRoU@@eVL`#{se-ZLnZ(@8)Vr7b$sCJ;OJqvJp%F9Q~FI?(PH_<35=&twSy z8QCv5_^I-wZ}y&ee~P<$Uw4!Kn_NF06DBdJ>MP7cP|VkwmUIFgdJ-KB>Oi^xl=}xw3jz6l4;zr@kBA4T^h@Li)qVkc zP)8ji2D{GV-PDG(h1^y~%Yu@~G&l>V9uydNOw+<^af1FFPCqj+14yKS3XW)+6BLgM zSNskY0R@A!8Z-uZa*)6Bs6j69L^a^g9-abchf(80a0co)2xlNCNO$(BrlmojNcaiX z=a1p6e05E4hnvm@)ptrQXO+}KGBKZ!z8#bSJ_R%dGzj!}$X0@025kq`-z>F9fXyH} zs~iNDA^)r71GEK{4B1rB2#^i*J!JKu1E6)F`I&NrmVm@mP(Kus4ysx%wJh+iJIe=r zF~k|V;UAio3Q7Q-YDYW0m;d9^8_DW%xU?fj7_2Lht_0CZ&I3FJ3Wq!jL`|#$AB}Vj z=s41KpjuE3NP~~;W#w=EV|}o@_B^6y6aUvq>%x!#9S2#{37XIfK;c4YNkUwrSDSp>i zY*J4oh}&h*yLgr|LR~vsj8?8|MUr?{J$S8HDGycBy^!j>Rz%CnO4DXx|LgX3ov~|m zxc&Dv%~mhY7H^2v>W4%zRTaz;RpPJexhz2Kqlt60<~~Tt7V)c&eq?d(W-I z)VO(KntbIQ%66f8be_0SDD~Prlu~zx8aH2DB0DqGjRyKR&KHNpq>u$dI|Z`ehzJ1F zK;cMRX**;dkgoUNzd(!#4lTY#Xl)BINPv`%^}l$F_*}?>a<%?eG4{qnyw?fAYa7k! zZPBzXEf@j~qq-(G6hLk#x#n##`Jc0+{8qcda{uXD#n+-&{tC>&75<~QiGdPN&Q;@5 zG^^+i|A2I{U-mr(?Ou>$h5yUt;-nN=>diYu)nz9!owQwgi4jOs$wa0s16j;;&I)BZnQXEwkE=|)*}2cY{Fy5g1U^}EDO^xY44iRZ+pYHOAlA*$6Y zSz@YqOEqMP(X!gFeg>)qo;)VTOVzh{CBq=0LN&Ehq384YoU1BWTzAA6z4v zL?3F7?`||h-I*;$i#-3M*&RRuk5VQ9+b5Pc2v{ zrU#Lu4eF_NVzi#@^uN1K*n}9U_T`9O|FLTH#1B>5e6QF{&FQsXJWsf1y*Mv4|10;2 zM+EVc?-y49{j2U5ql8HGKl%V>jr{RV|L=bzdI?eCKe0g!6{9Esj%oxM&)d2Ku)XDv zc-{vu12&4W!lfo{6!XdH=8fVza=O+nmJ6}h|Aj{k#p@CE?H2g@#vha?hDx#<^|1Jh zaH^n3gm)&zhq{bjLt<*{;r;P-yl{x$*Gi*^q7kV7XAiyqD0Kn3JcD6{vVXMOX%HtN-cXvD3Rs= z*E8a3Ax5gppA$Ee<+kUr(6;;Eeop*R?~`pi#1OrL=GI3E^(xdhOiU}miUcA)52(Fpq?3W2rT>{sKLP0esc=(~0f(_K8_rEMb%fz4 z%;cjjX;VfR^-8x*;;%F+`1RYn4Jl*Jt3s;;QNbo&8L(nubP6Dl5 zv0?=oM1Y(inhfDTGbNwdX&u4-uRo!e{YSFZz(Zmjjkc=}iLr!B4v95ljsLSl;-9w3 znQ)kb1vL?rjkE_8hja~QofGfWQjtyrWkFs9D$Vx)_?cKi!_~j!a|~Z`NIg_5t|tDY zT5;aqKjY6>E>GdC4@~!e_JvS#+#=+>U_374fVfbdUInCf<7#<2F2Cc0*W$EukN>gb zV(dT}QmI})Eyj&Jf+}b(UsE>P8x@bm0gsvKiKXVsYjLO_PK)b<^$}l*i=c=z!r9B< z)T%RLo(NL!o)Ht}zn=1+J|q4XBs=c$fBl14-bC$jw|}}K5p;nz+=ZHd7qxLTJTm`1?zKx)iw-x&_S+rg*5UeF;bzG{?Bl3=|3RQP^Zvx*Qz~{avaw9W0CS| zvD4rGBKc?iQsujG@+NadoI75w>g&VA+YZu}s{P~TSX1|x@lrqe42Y6LXgM7hC7 zt*v&av8jMI_ROs6I#XG+tEJX+q5;n@n{W~n!sQ!;cs6Nez%rlBR`%P5uCfu0S7I|y zZ}{ki{PcplH}X$LembkryY6&Na}U>ic)eR@yul`ezA)~GOnu1^1zds`tU7P0gpHkq zz)2YNxOi`hior{F2kj9_ZF1mMaTs(i$5y-n$Nrh@tu4;@tu2wsTU(-hTU(;b@S@%6 z8`Lqyy=(9yIG}q>8w!u3H~jOBYjfI0K`q`AL|ZA6@rqsRYgW$G{q=pLl8>XMA=-}C zv$%e>0oPL=Pjch+$YVB;6*8`~Rl3v=GvAF(lYc5aAdfHwD$8Cn>487o~T<{&Jh|5J?w6JL@ z(%1CT+-F?qvnbcr#t_ZhsyRF@+Yv%DA{TMS*DVoovW%Ql$jz?X!4+PM*4ZdZ7@*;( zFpUQnDrSy}o|SfQZ87`AEOZVW3|Q`KYQASzOH?{qlfAWN4Lg4gg;J!BOu~K!9v{8m z!x)IitVzZgqRKgu#sD_;w{YIM{O#Zrv6JE zLoHa?)U(!T4Z<4+{a_kk=$?d7>XwB~QD|v2bfGBhFR08IbSZVQ8FmT#ddPJia<>`! z=_vf9B?Gpfoi?Wm4W3W!#w@_#9Nr2Y)`_ON?CuDS<5vETBeWNHb!G%Uc(*%3?~|YI z2)Xqs7Rs5Sux)Vd3PN~-Fo=Sv5B(Gs>d(Rx4M}vXsNK@!^8C!;Lo{f#A43JqF2&qy z=!Yph3tc3U&x}qbY%s7-Z+I7%4SUxiD7aR~y$ia&o)8a}+wfSkO0_pmpz-A0I8+=@gN_TR43DQEOHXXtq#DkEzDV#Pf zb@!9l_DC~;YBxvk>zlC_Xv1qL)LzWfR*U{OPLSJ%mFuktl?O$YCq)fk4T-G~ar zxNdKZ8{}&UwWT!(hmg{>OycAdRDq~fbh)u!PeMPT#=Wz>4NqfW)L@WNjo*|;jmILa z;aF&FsKDbWoLUiS)83=Vc_ff4mJgkIrn9?@NS?zpR&%t!fJXkE^P_>gH*|IFvAbbN znP?8DId-)?Yl#GQc%GJ+zo>1?W1O+9tBVS3>WxTc=`vr#_ItJbxaO%FX~5C|2F=ix z(#`nvSs2XV<7aIvwrTgjgHeHjE_y9)(yDzgpnLbYQM;xn*XWkxm0f3Is_UDC&(|=Q z!WenDDXxBW3x)H7aUV5NNV^toZVIX1Qa?buu_2}!CzhKYYQkRUlj+Ehv7z@jokOr1 z!Y3A@_^mDRzyzQ!Pxm$D$B%9i&VoiKO1y#41(o?4 zOt~Ir53UO|+u9OWwzcIYONc3ot&Y(x*HIJ^S$#S>eGQ2yED4pM=|@X*Fv{4D z28(z%3WEUQpbhd3b{LbBaAb%;hL52$ms&Y_OOvA-OK;iMMlIyIRylP`V=u>+dUNeJ z``KJ{@=$;&qk^f>2T<9c8kCO8xU*3xl+@~VmX^diwqjI2(YgjQb2ZDhIHajcS4%6P zN9**+WkMgGytUD#J=N;e5MIaD7HUwCtGkS)$ViguVMKO7Wq8LFSy3ApJS0HLVh*d&#=g6LVgv?uVy(%&8-(?wk8&aX2{H_Od(l3 zYMk7CZ5YnciGnjToEO8+Z0%UcDQadu{rXWe&IO~5GMZetdWzL>{*ObLh9HBPc@WYL z4XYbMM4>XTV9kELfE{Q)RI1F^(29!;vjH~bjpgppkPd9j|Ga}PVm+-5z1)^sQbA5` z*^fVy`CDW*TVy&mEAXpK^jos$N>PQVBIri9KFa+t#)6@b z;tHhA0r)1;)GCuVE3wC7|1#2MNK2458)Qaim+r&3Acd|8mBNaON?}3k2*wBhM4 zqs!5zBs!=Fueiy0$dNzXYp{f2Rm zFIcqbi|Jjj-up&}L2e%XE5DNUPlpYCS4K4K%x>oMsR1rh=1wB2D1_PmDvKV97Pl z-4gwB%V?yQ-w1a^$RUB!_Xyq_&neXjqsU$*5Bfg z9Q6UepJzxv&5Be*Z&oBg=Wf_bj8`v$a}GI47u)Tsy|MZ|POkueK+4L;0h zy=2K}M#%@6xrXdO+MIC(p>DdN@HG`f*sp9;z36po6DhGe?Y235yD?PX3+9EXh$@(dRdX~Yr<)luHRaoLQ4_B zhVQTZ_xYKa`&VX_hAc~S?yoJ`zxK|lE2jN$*Y7``vG4t9(O+Nm#;Th_r+fG9ACmRq zis{o9(ebf+`YnI&_-OQ+7e&|a3gfkyyQiPmuUOlr&l&vB>0$bX<>H2G&vl)Q;Pl@+ zyAESqG)05Il=>BCycnd-ad9bHxyhV{K6F=E_iTxz2p}&#sGtWF_Q~jfbC8TQl=}2q zH~MjXO&^2;%$YFQqNg!)By?9xKh~R5G>FCoqCuB)v^JOn*c_;K$d+*B1nKGgPXi7Y znRjffS_yc!=r1T~flIZ|msydWtdTaFR1lV*i}J{a&|m z>(s0E^I2!kCE@0_7H2cMg=(8_t84^zOpn5Se%qYOzLE9lDyP)%endPLqGVq~Hs&$6$hGxHlzt_LemyJIep$eeT&w0 z_w2^aPB7nZskf!iZu}%27agu`^^i4)`ht3!wxr?t`6OFYE2_8E;*+FWp(QtNapmbY zyD`|>aX0IX$H^=kh2hJ`5S;HrJd`J^KA0*1AGqS#ES+oWh32WJvP67Jqnk3Dg*i~` z5N#m}eWV^wI}ZyF9x!-(gD5j_i|_658M~)i3Ib9;j>M=BLYmh1A(%#} zrCv#AH5@wX^@|lm;IwHIVwrw#Aul~x!uWB^&<~qvkB1E1)FgUsYV=)T>|>%SXzU<@ zKexl!T7-e-Zo|9aP4)QF^fW^D`MgG3byDLXJj)58&&arPn0gJnF&4CR+$*4q=vfc8 zO1_tfIlUl)LTjs+T4BRTbR~5yo+H)EbZ%N6X%V zTlSTBT(hMi6${e%^%x)b^={0Yw59&VRA0kG;g2*_F3V40V6l zlsA17riW4E-=pAXp{xbc)ohvmY*5oSq2(`JRn|bS>qRxfACDF!!$G>QVFU)7{1BCO zZEqNY*5h7+UM@5hU|d8aZPRZ0$)56#L3bJc@HLnlSGys2#%psujDB0#Fk0`^g-rtx zPIt|brVBnf(qvm)@Y6{pn?ruesXy;+z(5>MEun#%g^HW{%e8e_e6&54`jUN9gV~*^ z?BP6zadNv@)6Tead97cD+M1b7;|w-LrOu?X-OUy|vw~N+@Vw7bNosup3QmG8MKpr_ z^oJh@`?(f6JS&blZJz(TpJFA?DvRstI^P@HNWK%V`P$S`%KNeTt3#DcCJM~BK&{6Tt3>%-H9D|Hq^#Msu>;2Ku1GCT^@Qh|uKPU$SmWF))nHF#K# zX^w<}xF*%;w+_gmi6qN|3=#ebNmc+EmhPXBWW|tCB~3(9D}{_AY@$GVFJu&jDzQd= zeT^J--9ZSb#wJpRT6Sh4>FXh*f=onGI|~_gg^nup7Mb8g7gKdL6Ojx?n&PFSS};wH zaEC)gPEDk~rK}V(Gh9e&QIL_&tQ@(Hfoz}!DT=g5n=1YjBALi}O(b=SA# zQaDW{Sr%keK@+LvIgnYA>^v+pkz@ssQB+JMSsKPnI!GdoE!o(I4<-{teL})4q-l4y zVSoX7C$=!Ko@{cEHhHqi1-}7A6(W6p0AB#U5JU|l`%{>0+rjJpQNHE_Qvxz8P>S?k z=1EqDbOp$)P$kk;AnFd%A4K{Hhz65h0Mhj!(h)Ty-3p>ECB6;mb`aTO>|-FIMja54 zlK4)fwbk9c9qC{Y>4-v*c3AXbNQYbW5lA~NJni?Vt?iVT%7}!{hiQ$pTNl!I4Yc9k zEFcO7(I6T~B+J3*c3B(-!!`yyO;K_fk92}XpNMo4$ZSwD(kT{wD$;2>kN8so>0mNI zW`<0p%@C443w$<+(qxl^bS{Wy0aen2bRO%;CIJJ_^hfsj&=r6vO;m_<5y(dUPY#N~ zYzI+hA|KKv7XCN}WGQ&cO!~b@ms#``NLO0)RY+HZ%m~&XeGo)>$;T0-$=+?&s2O9R zmJP^=J{740PkM549O+XQeLd36AhUp0q|btIv8@-Pq08(bv%}Qg+#3G_v*eQAG0r>C+<8K426=8ZC?Q>F$Cuz5 zJQ){d^HC3AX9flqY%4+P`?a=uY(m)GyuFSbV`;Mh|u314RBK=5#d;=U7vQu*2 z0m=u_L5`A_VizhXuwWl#wV*f<6G2SPQ<(D1o;3n@8|n>bvXZ*a1{y2jqm6E7YhYId=l3C zm$u^yvU9%Cyee6g#_dRtXX52(NDMV7< zvQo;cg(E$x?2RE>mt(N#7h8+vu&TekV1O{%k6V$i)!N0_+En|sdKNgg^lQ%S2o?Qm zguPyfW`R|l#snStU#rH&a)P_Pt*a}o;hdZN)BuC?Y@U?HbEtHP$KHO-W6nIr6?CCwz5!tS6_+;0u9d*U5as{_}44 zp8junfA1&J|0lyR?UW&p z`Rcqo9FF3fjRF#ZQQ&i!_W-E^+nLX40spG>RR6`WceR4wWAhXMse;AC!@l0FC-!)P zzcDi85TYWLKr&1^ecs)AuFLH?WAKGQjS4<%I7t51kY@nNVX=;TJkj47d>xSdWPNY= zvHxK3wSPDCZmr!Al;G9*P#Bgn?qw`vtYEBUtYWNYtYJLJc!aT*v5xUL<0%8(T0IMz z8Cx08GPW_cGj=d`GHP!b6}K}6GlnsSFgh3$$_@Ku9Wfo^SrE4jCQsUDaR!uMIe|34#sds7o(Fgf-#XXjxmNYiZPZknlXtn z9XJFxDfR1&a+}?pILp|^*vS}-(+^b;zY(Zo6eInRBFVEDvl(+3a~VC1d5rmt^|+Rx z{C9=m;(-z!I9n3ZS(8x18JEz`7|a;L=wJ+E3}=jBq>C2HAITVXhg2UdlOx^DxKbfS zE8|(lHpX_w4#rMK4WA_H6=Do#jKt@NB#&Z@W^^&eFxqiZ13NWhxg6%sG#D+HF@ z@iB~al}3CVBVFkcUqDE!UJ(n58Mia~7)u%H`%cPO##q5fmwY6zXKZE+&*TO$IvFDw zqkuP4#%LC}7_~c%jFpUWxP&9SYDPP*S&5I~DHzM>WO>|O!vAT894zNLH*30OBx4j~ zG^2|#hB1~gjxnAwVY7eSN^DbKp7)U9FrTr2v5>Kdv6yi?qmQwKv6Qj)QNv%Ii3R?h zccLJ76gEZcv0-#E#xTY*#xce-CNL&4CNbteVfZUxEMzQVw0jMGuz_wZgar=94azX^ zFy=AlGZrw$78`bPjPZ;KjMa=aj0YKyFc$8h{CLt@q$e;j8Mia~)Mr_8>fErMMmgb( z5sXg8NX96}Xhs)f3}ft0HE*>XwK#p3;V*+RlQD}in=ywmmvIB5hcS=wc!}ZfREhtS z)pCHG8}*VQh-P###xTY*#xce-CNL&4CcWezl`W?Uckru*!w^OXV;EyNV+5m<6g!x#tOz{zhR%kn97*O zn9gXgBs>4&wem)BUBdfDrbNah#$?76##F{M#&pIE#!UY|*2y_yZd{e&FP<@hF_AHe zF_|%iF_kfmF}=$F@V#=BoO}F$;qVk=J!3OtE8|(lHpX_w4#v&{>bv{ockW#L{K!zO zej6A)jCqXtj0KE^j75yajN2J2+6{k|j8%-)j5UmH2C7@{mkZ`b;MX^(5>CcQ#wf;U zMi*lYV=QAFWBfn-Ki)3~2zT6h!(lvQ0%Ia$5@RxB3S%l`8e=+RtKB$9oMmidY-j9X z>@?7=X+cH?JLC3XgZD9(FqSgL^fUA|VFo&f7+7(cft8F^jLj2-CUL>pN{FXVX9;b% z+?!1HD8ExrAg1`;3<|BCF_Kd(Q&1bKY=lkF^MsmF@@2CUro}l1M(?> zg10l4Fz#inWUOX9$XLgCim{oojj@AKyV>v`!WhOF0o3n)qFCT!jAe{xOk_-9Ok>Ps z%x2ucn8#SiSjvg&R>pS5PR58-qh*ndF2-2K1jZ!BRK|41 z(p0|tDPutuV+~_7<5|WIM(q|3DPtI;lQD`hhB1zjeie(lI+-!e&4~;~`pGOZ$YrFT z(jvZqk$z^2cpoGE+!pZ_jPw&+#2;j=V?55-%-G84Zs$Y?qkXaAAeb?XF`O}yF^VyU zF_tlbF_AHaF_kfcG1EY|mcxQv#yrM+#v;aI#uCO-#tOzt#u~;~BYqxT?}9|e6vkA>48}}Cl&|HmAeS+ZF`u!Bv6!)h zv6Qibv68Wd@gQRz<8j7j##W#{|Jzy6!Dvt82rz~*hBHPoMlr@P#xf=_CNiclrZQ$Q zW~TA{&tX9>V;*BZV-aI9V+ms^V+CU+V-4d$#yZC1jLnR#X*~biS*mNgV7zHZ74GDF))iUn=ywmm$7QCVRwqLp3%9^kVi5mFeWmd%`xE}(+wtwakFkW2zIfKp|319T zqYP1x7#R{7GZ{BLZpgEW4D>J-J!SBfjK`lg__M_ZwlPLLXYdg_42)q+ARLT>Q(3Sb zNG-|R>3=v+Zj|nr5+g?}V;o~VV*+C$V-jOBV+vy`W5`>EKL=wtV+5m&u(Edlmpp(&l+~9*5!x+OE<4zm;3`QT2y6gz^wT$hI z9gJB&82M`dNf?KRLjN)Z@r>1s75K!TT2#r{#u$6fkjI_#Pk5BZMyxQJ9>*BZn829G zn8cXOn8KLKn8w&X!0^|>*vY6}V94!^N5XX8e`$eSYrAfUp)6o5WGrGVX57x`V=Q4T zW!yW&|Ch({>dsv~+HhFIc#!c3V=ZGH<8j7QjP;DojIKz-Ukqa` z$61ju-cTemCNX9+<}hw&tYBUgBAzh7}VnID){zSvDfU$-##AV1GjC&^=d>Laa zqic#Gk73MU%w)`uW&gnIXy~V1Z3sL`jNIZp#sbDdpu{Gbu=$2v8)G{m?S2~Vw&^dk z;WJs{5AAf2+Rebk`wZTJtw-y?`%W60kV3It;ePP>a8287#^B9%EHDlaI_YpA|8+q6 zS5F3S*PceA^8_|C04e_-U`hghs}7$DX=71=BM^k+ML3oToj(oC$uM>#=_nYK3fchj zfcApOK`UeAGE@MRO$2!^a670BR0C=T`A~2SeA}1fw>UvYd2S;^90bXrEKm`s8gv#^ zOZ|Mi;W!a!R+0)y1Ahv751eL`4P>Ui7z|PxTi;@2q2CtE%2um($XWDDk2_@J6X=^+4I+Ql>bhNW#2DJ%6AgCefZ#m2O(Y+! z8K7m69tUmlX;a4z%W$=0mt2VcQGeSdufX2ei+!kMm0InS6Y*=JJA85qxMMzfso1X0 z`Q-JYOkKBIUOKEAO^<;m_O8)9!y2cxtF61`(BZ*&5$5(669aJu^Hc{yH2EK$8)KnRYjYhIA!FQa`()F#T?jt5+{ zZz;0dZ<6VkbUEsY7vR^YUVA}aC~DQQ7ZAJzB}(M1iwo1SsS5eXS0^=OS&v@PeUdy{ ztuB#MW#)1u)DCh3MaH$c3B z#6wl8Pll*k%3h>Syo7khs6H>t3#I)|HQ{AB9E0+@m(gqXRch_aa;%6~PrWSho22S( z7>OEn;$=BSVh7lOQmn&~cd2ouxFE7`Qu9jXB|*nGlbbdMZAFn25Z5xJr{r?ySa-GfnBqVC@#@vltOn|tK#qEHQfRlW@2 zzE|Z+{Ql@gdja)o>t1=YXjLtH@rb`jUG^GgWr@1wHF>oRu2fIICNDwJAHIg6SnvPp zHH1U7sdKN(slAFn!ZWO^)HJ^wrWU>-Ct=J#^aiR{s9t$PUf(Mi4~t7|hI&w$TqO3Y zJIhd+ShbrVTAe7vGSI5}zlo9}9BS^Hcx7==?RZmOFC&Mj({G~Scs2MfR3}@_cneL> zQ+K|FoF29NEmWgOeF$Mt_-af|^~CdX(9`AUSC{Hjj&@|L1?A}88nvlhUOKQ7chcr` z&cK|pXRH0?a(GY#&co^Io)Ror|0u^`+JGBqvU7sSE>DfAK!fVkwG}A7TCJ{-BNjEU zH5MTnsASX*-WeJBj`A8 zK9kg#w=wSQIqIgj?2;~xJY+L@>({SK{p zp;9grM^w3o}DfJL>x$50_QI#_F&AaH_1U2S8jO%E1+j}T13HSG07{Wm- z(TndPi$|S!54J89`aZ^v^D#B=eZ2HbQd{4LqjA19rBHK|HoDubU=ORD5m zviK>r?ISt%>6fKlRaZ$nX8gxh=;BuOO_iLEDqXx!j(|?h-Y1s?wHH(IPkbatV{F-b z<&VOO`;7YiK6#ULKBq3(k7?{t3-+V5dbMdkhDfe@Wxt#{DFjamFcS2&tR9)1;HkT5 z$Pgb3p3Y+?pQu7Vz=%#$lRm&=P&zErjSg$8MmJZhe^q1V zG^-gOq5}@92S1eaMWXubLwy4N>q9vwv=q+>%%R>f7SC_g0>lPgch5%{MMu=uk6_!T z-u+0<56Z{=zY#kXeE{xD)#V4EJ+77=K$bSO=>X!LqCPx;!Yb9d16WWBe=oH9A-tf} zV8zH*uhhUQUVT%8(^494)I*F4yFP};Om*eQa!ODM#KCG4&h0^wv#w;0(qx!a)mT2DGKBk}D1ChJ@L(l})LyoTvP@I~PD zc?v#F-T4WIq~|-j$PqPixO(9eISh5&_X*mSqrUk>P6^HYo6whYJU-CNJEAW8R89}w z&@Qx0h~in?tRDOngY%U7kf2os9n?qll?V0J=C*?jSje6#wK0l5f zlyib=@GPQ@V{r)D3U%cneQCVs5E@^lo*-yfZywSoM8hFWw>EXzVf3g^J$M+xLiO5V zJxre+mbao;F8-ZdC*stO-^r;#XCra-sy_S#kvaQ28HqrL9+9I%J-F{Sd%qO{%~LZV z#&}$IL|z(N32h{r=L98OhRY_k8N4_f6 z$j=bWG$1cl>XIbH2L zDkIcGpQC2EYWL^xRiQp4II9{yhs#(MT8loS>+L9`7s`%Gdtdt`Y|VfyEJ*GDNDi~5 z%RDuTF1&PYGPI?*gKoY+P57N0);D)W-vN;`kN)oPA=SSQGddp4+YXz8L^NBPgLCAb zzDExqI{4`)ALGhkW%fOu2f-RwynS3? zk9TX6!Pe{AWgp6+S7w841B)l7STw@&f*!IzYby|8)29W`sB zxBF_UbTwS$<4J%AE@pRM9Lo5#$rAN$t(-Nu70KFYV~wSmPJF8hJti;J zRi4X)KJ%!qq^ed6j>+k6O14eFEQ5Hqarw2RFRhm|`{~1#^w|@;S90R>z|-)h;Y@r1 zc$yP5oQW?4pM|vb8aa`5ozP|KQFi79Kh#@Y@OycsUcZb9i6X|ba`#3xQMP8$g{)n*O| zpEtlhlO`Pdo1*2yz?z}%7c$UXCx`YJb<-c@xFAZ`s!e~8Q!l3^{l5%r{OEsYSouii z=@drx(dyej$mxT_r(#4;!}$tgsxt9WY6fPFu1cM5w3c#_Dox!}CnsJ^$?#cxc|;9M z7!aPy4WnR?J{|fn^@Cpy3L-W~HPp$WBPm$~4OPv0FG}+5YVa5G!d{WFc%(c}P5VNQ z>ywOhD~2zh@AM(P0kU8`=5i?UnH<_{JJ|5~>gg}!Z2aRi%x)a?sEZEs$&yX~ln0%` zkFIQb7lP+UVKz;_6W3y2H}m(>^XI-{^7Q2nip8dLI&6CVF-v~paT}s(gMNDQfM4b# z0lo=QYrd2dmYWr$FGpy#?LhsFL+CvHi*@5L9*xl_DDe>B0lPL9SbPY22l4&1>BQ3` z1m?*f$~pg~oF*%7Q`7zk%w6i=2oy8vag%XK?Il?1JjO3Mri}C`%GB$Q@La_>`f0Xd zaC}0YKQ8ADq=z|HyX`0ZOHSZENZOaGZ71c?$@HkoH24?^;zNN{&>w&i;OTvjS#TNh z{YmbWt?B*=r{o$jGZN3GjKioIJ)<&?ep-J_ZsGx;e%f#(O2E^cAl?c7$O-?~U&$rH zO%Jw=Luyw+L3}^m5KoD~$6IPb4}?;|(={d43@;Y7EDKK|p@(F~VN{HsgBeFZ4VUKn zb1_;Gs3MOF41zisn1Zb^IDW!^^v|f-SbBP99EK-)VrCruv^QXQ>V$t@gM3}O=`osV z_-81H9|!?e5f@Kd5%>|zcY!YhKa%-SWUaOE7lLoI@Rx&kERy)O1;gJQ@X_GW*}DH* z@LpU?gkT5@@G+y74*o*s9|WIg;U5QI3f`>1Ztw@ek74_A@MpoB1$+!X_$H~1X8j+* zM}ep1hZ^_|9)xNM7Qz3(AOn0j8&IdvLq_8;LP-x2jRT_v&v=M8`(PyaW($82_*M%) z75rHXKU>}3A_uv{@YK*aj5!*<-Ymkd-3|pkS^PD9#DCC7{XSroYsl_83x@44+X2z}H(cr1#9AZC}`3 z09BAa7cmZFh{alX(&q)tnM{kn0h;Q2T8SYR1YJuUAJ=&OmzhA0S_I7PBMJAH4(UX=e^LV_~It0>7p&{SSKjhS`#T zie{|bKv@F>de#qVQa>(kSEucV8fWEzF z0a~Da*6lt0bp-gM*G-o4Y?^kTTGNJ=0spseXB%c_mc^hH5hFehIn0O!^ZgMng7p(J zy{NJ{)W0IL@{R!e1iZDf>ccI18sJy|AjinUa<$|KREA!0S&iwFG%N44WY)V6Z=$Sv z`gG08M_KHtdn54b*f{!WgK)n`Jl%!Ubvsq&e^41Wy+|_-V@moTc>TqH!4Ya9IXEjaj=zUxEC@S6cGZ7mwEbu|4zSqU+ZUH2bQa4(QX# zUva3#{|9|u4?V_2bq@h96MNQBqo3O_3v5ISiBEvQj1YahY3iv*t@(*JHv~}*;-f7~ zMc*Ji3yMCeHvWh%H-EUqoZik~aZI6)4AA2vQAp`9x+>n%5Gr`XN;Tl0=yLle-AK2a zh4P87Lsqjv;TAoWleoFtem?B=SLSTLl%8ef8L!MK|J~qIz*kxPZ2)f^`em^j8;H9F z`N-gSSofh&p~E7nV1ctPg0G8 zDu6COZV{Y82IA9UK^cAoANv>{31NPj4(bXxKlBul;}$-sx54{3{~++@i+##}Ie2Xw z9x0g>qGiYlfpHiPlkrWf$ z=-XK1F#H!=c=waYP+x*OUYwxF;WOY16$(Jhi7y4;R*mN_7KijPt<_wCTR%?bz3r`N|<5jCZ!@`rEK3cWvgDw2GD3Ew-1GnQ}q~M_OZ?rHAAF3LM(FNNr zJhdRBs#`A+dSmNKcf^i-)U79b`Y-avfnSt`ed_@1OXER#5%~0T=yocfA}|?(Xc$l# z#Lom@2!mYIocMX*_XgwNQu8`+C-~TYc)Mj;7wmQ21yTik7M>zRAEFwE(cpu`Q~S*& zn!Z3a4#ObfAKg4Ts6>T~!_a4(@8(HQ--KHAw0pDy#9-zThGghWAZF&s<($8-s^`^?Lzex%qA5Di0Pvt(~PpwHYby((xcTiUC8DN z5NF-pZARI$1Na(su1k(km0dE@O}nB@moa!VWbTrpF-v*rUrd{NDv~}!GI`R|?kSUx zM}B=b6-1Pu?CEnQQ&0A^yUOI}_rd5fw_BM7=2;9VL!E^uhxA>O>45ar7M}F zJGHDl?bPb#2N_$nx&?@U8YyfeE+^mib=|h)+iBrRA7$Z5pP;T0wu#t-w3@)K_QBB* zGVILh>Bw=fIx1`v#)M(tmT?%-rhQx{PY#RJNNJlmrr07TceJO=Y8I$Z!c(Cgs=v)PaZ+c1c*BF;-Y6UG5Hk*5Zq;gJ3-twv>(y%zk8QSy zDL3sPv*vbeROfBB31h?PHI;rC5uqJrCQp^q+-jcPHX)RDmRaQo)mA%7KeDA;OvNOt z&mbOiDzB$F_n~f{yzW&agKQHg(~fH6=&RLYFcTkzKeiDA^m$MrYqYv1O!)PmQbTfH!pQ%RnLYuMz#JTD=h_UHxD}hVx z1JM4r?|RuLVC;?TZJX$hr>iIZz$<;c-z7fY);%qAAMe&vjXf5gil%SqjKipE$=znl zr8W!xhT?tJxq>M|)$0=UW_6LBUV;bc_)mj5Zbrh%41?5Ra*{h$(lg zg{NYVtJh#Q>D1HRPTi;-@ljBlYl>ZU>nYn=)xQry*RHMs3=Dgwr#9j_wXu(F!VKqg z-Euuf0epP`pS!)MeTcfOuWjNC`i#&xj9#XFe7z)KC%wzUlUw@c(bN-9 z|M%TEr1ozN3gR6Q@Q}Sw3$qwd2KuM*W`;M)kK%PzC*M4s1HTtv}k59Z*QI z+CgI46KNbqAMaIPK|HC4vh!Ssno- zqT<9Gy9kVjGxU$@jl-C%^bhNeqo1}2hQwR!2kHOS-ZWSaP0C4iet>PlWcrNLIE;6W z^dIg`JE}-p0FN(ImRx}KvD8vw>bm1cdp6*#+HnD**cKp8R$oC(`}!P+N@Z<#CNC;Q z{BelPNlqI_jl&o($zOEyRA{<|r^+73r=+Gm>7&S*ei-?ydPYsX@34)L+25!Mp|;^c zlp$W7L%k-`j#O*Di~#TJY2WqW-5TwI zwHjo%b@NmJeM)Q9=LGPyOV+AC62Q}TSyNB`>Mgwf*rmCL0L#rkx-(D@ z;F!KKHV$L;NU-qKa(r_ThIvqAQ}81;xh*VC@;d^b;iI=i}gDkoi-(JwMp~Yn~{DP;Vm54lkW`Rj}PkBQ$^@Y zYHR+oA>BOb%SLtc)KL0Y^rk)Z7i#ozvqgY^4R7+;^@WP!U&Viiicb3f3Og6*Dyu7x zf0uiqfI%Ln3KAtiL>^J1AjFCol$QuXo%l{ofDnm;5E4|N*c+X!DOPl_wY^bMQzxxd zsm2a9RH=m#E92l4741Vh#SXQoIICJl8MStP|L@zId!SiszO`7~-`VHv^FHT$oV_zC zh$lrlg6-+Vi$~;H8L!FBIf+|q*+wT<@8}xT)vyY4)5786j zr^^i6S@O1kl~m^0E*IAxv!iSXnojb5TXM$_N}qY*mSfV%>e}@vpQ?bBPS)2h7f02( zuc2qCPMe3}vc`6np7FVt2hnEDxxesVwsLe{`W$U0)-L5+Qi;qC<14AXY`LAKttMcV zLblzZyft9SW%BKEIQRI;>7m*ELEx_`gjh4f3sE`ts6>(M#9fIBu|8mBBD?WWzB6FS zWl!#M72=Y?6ha5)J@6w65g(u@$VX4Z*?GA#T^X>H$|&8_OTIZ^$z`M-$`1yNoQILz z`-8dtEgzSUr*tx657RBlonOQzy0J00w1`bq=k#5y=(2GSIr-z=3Q1P*LeAJHS3z`I zAOFq0W%R*cRcxK?Pp+iHXJR7n99KJF^28|PFz?P}XOLV;iML_}5b~x#!Gyn2z}|FB{P^#|#0m=V;y?C}=raL7ZMT6Jh$2afY<$Jzd0? zQAKj`4rK!o@&QScP7M@n?n1%3L9QSbJ%{|>fYqY4LHgIbNWbS~ORsMiZ*>vjR~`ZR zhh4}IotiH|Iv(~(eS8|OAD)-@??S$(B#|@zQqj;ZA{3mKS5Vf4d~qP3(uKTmWIp|6 zUC0Z@#Bz=QR5ZVf2#b>lv0UPoph=s$h~Iup{Dvdn^E2`LnT{a+tw++6zc-mIXOb%w zeS-*YNpoZY4-`It`~dM=Ng(+q*v)G^zPvoTK26(g0lz?eaT((;RsctG{}?8aQI7L# zL~tV=W$+H{MmgewzO>NT#8N{%0(PSuaXIWpIpXQC3~{oHg96CUq-UrS7mUmEwIp!s z7%5PJw@GS#3lT%5aHuDx=?~;>V`r{?Zm5M$mKXC^E6f5~S zyg7)Vh6@#lVLA9nuH&MCM^zx3+!pNme+n?Z z+|sjRk2p#}l3r#-$(6KNO%Q)v7x86s6kk`{SS|Db<8^_8^SV$l@R;~=*%KCEQ+e*8 zVd;~z(@DGJtduu*v;fxPD)kx_%i(qKK;ve(jm3Gb@g49a8>WiCorqPF6L%Qmhmps| zSr-3kcxql>Hu^aMD_D%TGK7@iHMlBJcnBUzg7K!Hdw;e&CTf-O7hzv(m3{3bhKak&_+nW8UXtglEKYq0ICM(B#0z09##&CLumPSO6lg8HB42|j2bVIj52lm& zkHRfOS<+~5rQZx|yIXI(9jwvypIAET7j0pRjeFajcNCaf zc|!h{M|>h&#NuCK@-o;>DJB0Q)<9ZIi%dQjd4zuDA1l0`05=p=g0I2418B7p-wCgv z$%;+EI?x%@$Hu09A2-X**QsYr@+XVWCeo+Cw1j|IR+2j|(?n*}T>*3g<+T=^%%oGY~ zd=p%G9&uvkW~POJ*-gobMJc!o=7>U6Wf^XOefd+1w8JAAtvgKNPhg!x?l684b}vTK z_ZEEc6qZbre+aiwn$2P@2ALBXiOh`}1&~2MSRan2T7rSFTM9_wn`cvdK4Zo4;nB!9 zVr|!E@h8CUOhWM|!|wV-JYDf$=B|JWW)(1x0DTq6pg=y)u7Pz#vceRug123o%r(XT zXIO8NR+zj4F6ET%X>s~)(XW-B69Dr}{x+=FVBQ7q!?BYIO-3pFhycAjD7OTulaB7w z5wNc&YN4@k?CQwk%d?&SpjuLaRI~tYzJvwCAFOlo5S+ViEu-^5rH~tXz8yLC8`&|08d}=XJ zje62Hg`7m&zE-73f{KkRjgBW=#*) zU!5wT%(4D&BM%(`_C=1hTsF=?j`d0iaL*{J;aFG}jHHXy0@L8qp=^Vuum&#Y-y!36 zrdWHhaIHqRt~fs{?uHv!Ubm@$R3Hb}e1-YH)f7BVfbSTJ@H1Ez8k4L>ufy(rO9gx% zuB9JqEq#V5=>7nd{sg#`l~EN?{8G5=4xE1&kAn-d_a%1?if|qQ6-+yCvg=@-;(W1CBo41NmR9vj#2-{4lpL_7#7&?~SCvBnDUM}B8RXN(z4e)VF}oA`Km z%UEh{eOwH?-!m0p2CR3q?WS-p>?V?OeOLqQdrqs#9~?~qI2TcDym&D4FZLFp07}?M z0)3$O1Yd=FUYhU2hv2o7X(dzeJuwSQneh{_KKSf}HOilb^(J(-$)AU1l)8foX-s`Q znE9tK3rj3Qh83cXrPpW0@vuInxPlYmP0Wgs7QY16ThU3zWw4B{wi;gu>l++B6pw+K zu)9}M3tpZjK=w(VK`X2y3HMrp@4+Ky&}`NPov^=XQH#9*%c!(~_?kt(gV$cngSVys z1YS1;utD61S+azsx|krfQ1(;;+R zJScu0yy;5D4R^HSN8oT;J`Go}*^!01JR9vGVE-5v4qN$NgJs#Qg(vPK$?aBIHICSN zWldU&Ts0U4>!adfDI~+Ou)AT#&miWEqoB( z2J0Z%+DuZQJ7L+9dI2AR+vf4l2Guk0fr)lULj`^ThfD2V*xmZ6z}<@(puC{HR|ioQ z(3=3A67sct5WIs?I*b~r$u595alV4`#PKhIWj!y}7?}^d6DaBXdpI*M-(|PKGSt=a zdC9*6%lT)q_W#(eECDk6(V$a?&%%B$t|os0Ud$8bCgkE*VE05Rg@1s<8XiBC0^XFr z>pg|RHIq{)K4wlru0vMM?a}uy-^U)+fXye(&f? zSZ2dMXsh9H?Y|z@xBg5j$*&P^W*TN!r~uR`OMvWVm4Pzc0hhA&uQUFonBvFrk-q~^ zCBA3)IXwG(rmn^BOW*D14(ebk(KiOxC-P>KPk=d$m_3rV41Skn*6xoi~+(n7!QTr;h!ov9^P5U!!Qq;ag)y?K&SS&^^aTN z%die+xxy;A;v!Z*Q&v@J6xt)lEMGNI=^wqeCYK2QhOb&<7Fk5 zz7CE(&074oOPGIaDAi&MI821tOPeJ~^F*PqbNlIcmADU_cnfw-5ZaH>PAgz4& z!LmQpv{j9_!}}}p1$YT=UYmb7eFfIJ+6|^J`z8UgBTftWJuI&lY~S2abc;TQuVUqk z#|UGhJN+9z3yy_#{CBUVKNr@Kuv%-eX>iy@3t@j%#u&>+O9;?$@q?zI5ndUzz(2wA zUZfim)$n1(=LJNA#eWQzACOEsX_5bg!xt7Q7Ldg(OvP59{&4ABZ{aLPAOy(ohSzu* zTtfTe#m zEbD?w)X8-=yBK`N=o66;h8KvZ5Dq!To_c~x3CV= zdjL=o)M%QRhsR=5_ysuJeougP9MKgHhMVWm4Hkd0((_8j zTj+9F=j_>F;}*USE@e%*syp*vHK-?`c4)o^&G2kiF7=V*cfheDKI3~}nS;2z74D#a zicQ`Q>l}&C^C#ejcM_{w>H7&BcbWEoJu1CKK;lF*$?#RUh%WJkV=o;0EVK;XgFhp8 z&+ubdrruuTLRQuAol-HZlS&`vUp^5j`Go^G=mrp};+Scq0w{9bU;6u{)eVI9p< ze$qb{&hDz9l$M~JfXZ|8_XBfbo%M40)o?q_b(O_m2QrfRN4ybkXXBA_@Xjk<&y%%-vi4q#=GRV zuue2~a8D=sd$7z1xbcnoV>oswZ|VD-$^4Vs{n-{!#2|E!uTn5f%;U8((9$darLrF? zvG}uLcjK)1m%(xuAh~L|8a_xXv|0SS;KMw4P@cF8zMmx^e3ID;M{}a6*%G`8%WKC$ za(Fd>&aA%OKPd|mjS2V-M@Z)Dn2cm6u5UbdY=Fp{FPaP zfCoPlQi0sSri05gq?kjoON+;}*=n*p(c#YhDh1IxQq0djRgC9H3~M2TDIJ_d09 z0|)zm6A@&qwayf-Q38f^D@ByTyJ7k9;+1cFN3;uLWs=Dsp~j{u#+ z^gjO|*xg1;E`fg_mcm|e`xV^Pn8MTHLo7b!#uH#!%9I#Sg558-^i791UP}MBScHWH z$WVvJy|_S4aO}?7M)j?*tgH$x{#sZ^G=6rr=4~y`W3M({M%ST^FTC_Q~E--LUMIGZ#jSj{M)W zym18qP1SYt7c?b5>X+89SQP(UQoo@3=0%P1?;Gc@SiGn)`Mq@h(m1TKeyI{yUOD%i zvr*BsaQ>17iDcojhE+}V*9)p|s;$4dseas<%c9P0^U}F)-BGi2S!droxK*OKh5;5 zu3xlh(ZqAct4dMzHQ%`|eNt!9;&gTQFIbT~SKpYPmg-R#*DLqj(sW%m`YQ*+@a;^Wd*KRP1IS_nBLPvf8b`qs`RP7^hnUkze%~TtV$2*p?mT@ zD{{B5N)PLym&p5W%00&KURo~q@$XN$*A-1}e0M*Q`$W;?!na>XZrEym%YAR#Q@L6C zE!Vx1ew1tEcdytj LEFT_THRESHOLD) { + if (angle < LEFT_THRESHOLD) { //ev3_speaker_play_tone(NOTE_A5, 250); int correction = angle - LEFT_THRESHOLD; - motor_powers.lMotorPWR += (int)pow(CORRECTION_MULTIPLIER, correction); + motor_powers.lMotorPWR += correction * CORRECTION_MULTIPLIER;//(int)pow(CORRECTION_MULTIPLIER, correction); ev3_speaker_play_tone(correction*1000,50); // Check if the motor is stuck if(lPower == 0){ ev3_speaker_play_tone(NOTE_A5,250); - - // Set lastProblem na cycleCounter because there is a problem - lastLProblem = cycleCounter; - - // Check if lastProblem was in previous cycle - if(cycleCounter - 1 == lastLProblem){ - lCounter += 1; - // If 5 problems occured than try to fix the problem - if(lCounter == 6){ - ev3_speaker_play_tone(NOTE_C4, 250); - ev3cxx::statusLight.setColor(ev3cxx::StatusLightColor::RED); - motors.on(-motor_powers.rMotorPWR, -motor_powers.lMotorPWR); - tslp_tsk(250); - motors.off(); - lCounter = 0; - } - // Reset counter - } else { - lCounter = 0; - } - } // To the right - } else if (angle < RIGHT_THRESHOLD) { + } else if (angle > RIGHT_THRESHOLD) { //ev3_speaker_play_tone(NOTE_A4, 250); int correction = angle - RIGHT_THRESHOLD; - motor_powers.rMotorPWR += (int)pow(CORRECTION_MULTIPLIER, correction);//correction * CORRECTION_MULTIPLIER; + motor_powers.rMotorPWR += correction * CORRECTION_MULTIPLIER;//(int)pow(CORRECTION_MULTIPLIER, correction);//correction * CORRECTION_MULTIPLIER; ev3_speaker_play_tone(correction*1000,50); // Check if the motor is stuck if(rPower == 0){ ev3_speaker_play_tone(NOTE_A4,250); - - // Set lastProblem na cycleCounter because there is a problem - lastRProblem = cycleCounter; - - // Check if lastProblem was in previous cycle - if(cycleCounter - 1 == lastRProblem){ - rCounter += 1; - // If 5 problems occured than try to fix the problem - if(rCounter == 6){ - ev3_speaker_play_tone(NOTE_C5, 250); - ev3cxx::statusLight.setColor(ev3cxx::StatusLightColor::ORANGE); - motors.on(-motor_powers.rMotorPWR, -motor_powers.lMotorPWR); - tslp_tsk(250); - motors.off(); - rCounter = 0; - } - // Reset counter - } else { - rCounter = 0; - } } } @@ -227,7 +181,7 @@ void main_task(intptr_t unused) // Create version info // version createVersion(int versionID, const char *codename, int major, int minor, int patch, int day, int month, int year, int hour, int minute) - const version VERSION = createVersion(51, "HELGA", 0, 4, 0, 8, 11, 2023, 15, 40); + const version VERSION = createVersion(54, "HELGA", 0, 4, 1, 10, 11, 2023, 12, 10); // Set-up screen ev3cxx::display.resetScreen(); @@ -256,9 +210,10 @@ void main_task(intptr_t unused) tslp_tsk(200); // Set up motor powers + const int SPEED_MODIFIER = 30; MPWRS idealMPWRS; - idealMPWRS.lMotorPWR = 85; - idealMPWRS.rMotorPWR = 50; + idealMPWRS.lMotorPWR = 70 - SPEED_MODIFIER; + idealMPWRS.rMotorPWR = 50 - SPEED_MODIFIER; MPWRS motor_powers; motor_powers.lMotorPWR = idealMPWRS.lMotorPWR;